reklama
Aktuality  |  Články  |  Recenze
Doporučení  |  Diskuze
Grafické karty a hry  |  Procesory
Storage a RAM
Monitory  |  Ostatní
Akumulátory, EV
Robotika, AI
Průzkum vesmíru
Digimanie  |  TV Freak  |  Svět mobilně

Intel oznámil proces 14A a Microsoft jako obřího zákazníka pro Intel 18A

23.2.2024, Milan Šurkala, aktualita
Intel oznámil proces 14A a Microsoft jako obřího zákazníka pro Intel 18A

Společnost Intel chce získat zpět vedoucí pozice ve výrobních procesech čipů a říká, že udrží plán 5N4Y, tedy 5 nových procesů během 4 let. Bude tu nový Intel 14A a pro Intel 18A má obřího zákazníka, firmu Microsoft.

Už je to nějakou dobu, co Intel není na úplné špičce s výrobními procesy pro nejrůznější procesory. Dlouhou dobu ustrnul na 14nm procesu a od té doby jen dohání TSMC a Samsung. Chce se ale vrátit zpět na výsluní a prohlašuje, že zatím naplňuje svůj plán 5N4Y, který si kladl za cíl uvést 5 nových výrobních procesů za 4 roky (konkrétně Intel 7, 4, 3, 20A a 18A).  O připravovaných novinkách se hovořilo na první akci Intel Foundry Direct Connect.
 
Jednou z nich jsou přídomky E, P a T. P by měl o 10 % zvyšovat výkon proti standardní variantě, T využívá technologii TSV (Through-Silicon Vias), která je součástí 3D Foveros Direct. E pak značí speciálně upravené varianty pro konkrétní zákazníky.
 
Roadmapa Intelu
 
Zatímco Intel 4 se už používá např. pro Meteor Lake, Intel 3 přijde pro procesory Granite Rapids a Sierra Forest, má se později objevit i verze Intel 3-T. V první polovině letošního roku by měl být uveden proces Intel 20A (to ale neznamená nutně i okamžitou velkosériovou výrobu pomocí něj) s architekturou RibbonFET. Tento proces se má objevit např. u procesorů Arrow Lake.
 
V druhé polovině letoška je pak plánován proces Intel 18A. U něj se počítá s nasazením u procesorů Lunar Lake a má být k dispozici i pro jiné firmy. Zde byla zmíněna obří zakázka pro Microsoft a jeho hardware. Poněvadž se v prezentaci hodně zmiňovala AI, dá se předpokládat, že by mohlo jít o nástupce čipů Maia 100 a Cobalt 100 (ty ještě mají využívat 5nm proces od TSMC). Tato zakázka má mít hodnotu 15 mld. USD.
 
Rok 2025 přinese Intel 3-E a Intel 18A-P, také se zmiňuje první High-NA EUV. V roce 2026 to má být Intel 12 vyvinutý s UMC, Intel 3-PT a Intel také představil plán pro proces Intel 14A. Ten využije technologii Foundry Advanced System Assembly and Test (ASAT).
 
Autor: Milan Šurkala
Vystudoval doktorský program v oboru informatiky a programování se zaměřením na počítačovou grafiku. Nepřehlédněte jeho seriál Fotíme s Koalou o základech fotografování.
reklama